Online Thermal Prediction in Different Thermal Phases for Multicore Systems

Document Type : Original Article

Authors

1 PhD Student of Computer Engineering, Shahrood University of Technology, Shahrood, Iran

2 Faculty of Electrical Engineering and Robotic, Shahrood University of Technology, Shahrood, Iran

3 Faculty of Computer Engineering, Shahrood University of Technology, Shahrood, Iran

Abstract

Increasing the number of cores to enhance computing power of processors leads to an increase in temperature for multicore systems. Thermal management is significant challenge in these processors. A proactive dynamic thermal management uses a thermal model to predict the temperature before processor temperature reaches the threshold. In this paper, some appropriate features for thermal model are read by using system measurement tools. Other features as historical and control features are created using the proposed processes. An online thermal model based on several thermal phase is proposed. For each phase, a neural network is used to forecast temperature. Different thermal phases are identified according to the parameters affecting the processor temperature using the adaptive resonance theory network. For each of the neural networks, the minimum number of proper features is selected based on the mutual information between the features. The proposed thermal model is able to detect new thermal phase at run time. Then, appropriate neural network is created for new phase. The proposed model has been evaluated to predict temperature for different time distances. The results shows the mean absolute error is less than 1 °C.

Keywords


[1] J. Kong, S. W. Chung and K. Skadron, “Recent thermal management techniques for microprocessors,” ACM Computing Surveys (CSUR), vol. 44, p. 13, 2012.
[2] V. Hanumaiah and S. Vrudhula, “Energy-efficient operation of multicore processors by DVFS, task migration, and active cooling,” IEEE Transactions on Computers, vol. 63, no. 2, pp. 349-360, 2014.
[3] R. Cochran and S. Reda, “Thermal prediction and adaptive control through workload phase detection,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 18, no. 1, p. 7, 2013.
[4] G. Liu, M. Fan and G. Quan, “Neighbor-aware dynamic thermal management for multi-core platform,” Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 187-192, 2012.
[5] R. Cochran and S. Reda, “Consistent Runtime Thermal Prediction and Control through Workload Phase Detection,” in Proc. 47th Design Automation Conf. (DAC), pp. 62-67, 2010.
[6] M. Zaman, A. Ahmadi and Y. Makris, “Workload characterization and prediction: A pathway to reliable multi-core systems,” International On-Line Testing Symposium (IOLTS), pp. 116-121, 2015.
[7] V. Hanumaiah, D. Desai, B. Gaudette, C. J. Wu and S. Vrudhula, “STEAM: a smart temperature and energy aware multicore controller”, ACM Transactions on Embedded Computing Systems (TECS), vol. 13, no. 5s, 2014.
[8] S. Sharifi, R. Ayoub and T. S. Rosing, “Tempomp: Integrated prediction and management of temperature in heterogeneous mpsocs,” in Proc. the Conference on Design, Automation and Test, Europe, pp. 593-598, 2012.
[9] D. Shin, S.W. Chung, E.Y. Chung and N. Chang, “Energy-optimal dynamic thermal management: Computation and cooling power co-optimization,” IEEE Transactions on Industrial Informatics, vol. 6, no. 3, pp. 340-351, 2010.
[10]  M. Stockman, M. Awad, H. Akkary and R. Khanna, “Thermal status and workload prediction using support vector regression,” International Conference on Energy Aware Computing, pp. 1-5, 2012.
[11] A. Kumar, L. Shang, L.S. Peh and N. K. Jha, “HybDTM: a coordinated hardware-software approach for dynamic thermal management,” Design Automation Conference, pp. 548-553, 2006.
[12] A. K. Coskun, T. S. Rosing and K. C. Gross, “Utilizing predictors for efficient thermal management in multiprocessor SoCs,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 10, pp. 1503-1516, 2009.
[13] A. K. Coskun, T. S. Rosing and K. C. Gross, “Proactive temperature balancing for low cost thermal management in MPSoCs,” IEEE/ACM International Conference on Computer-Aided Design, pp. 250-257, 2008.
[14] K. Zhang, A. Guliani, S. Ogrenci-Memik, G. Memik, K. Yoshii, R. Sankaran and P. Beckman, “Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components,” IEEE Transactions on Parallel and Distributed Systems, vol. 29, no. 2, pp. 405-419, 2018.
[15] M. Chhablani, I. Koren and C. M. Krishna, “Online Inertia-Based Temperature Estimation for Reliability Enhancement,” Journal of Low Power Electronics, vol. 12, no. 3, pp. 159-171, 2016.
[16] H. Peng, F. Long and C. Ding, “Feature selection based on mutual information criteria of max-dependency, max-relevance, and min-redundancy,” IEEE Transactions on pattern analysis and machine intelligence, vol. 27, no. 8, pp. 1226-1238, 2005.
[17] S. J. Lu, R. Tessier and W. Burleson, “Dynamic On-Chip Thermal Sensor Calibration Using Performance Counters,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 6, pp. 853-866, 2014.
[18] S. A. Narayana, “An artificial neural networks based temperature prediction framework for network-on-chip based multicore platform,” Thesis, Rochester Institute of Technology, 2016.
[19] K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaran-Arayanan and D. Tarjan, Temperature aware microarchitecture: Extended discussion and results, Technical Report CS-2003-08, University of Virginia, Dept. of Computer Science, 2003.
[20] Y. Ge, Q. Qiu and Q. Wu, “A multi-agent framework for thermal aware task migration in many-core systems,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 10, pp. 1758-1771, 2012.
[21] P. Kumar and D. Atienza, “Neural network based on-chip thermal simulator,” Proceedings of Circuits and Systems (ISCAS), pp. 1599-1602, 2010.
[22] A. Vincenzi, A. Sridhar, M. Ruggiero and D. Atienza, “Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs,” In Proceedings of the 17th IEEE/ACM international symposium on low-power electronics and design, pp. 151-156, 2011.
[23] M. Mandal and A. Mukhopadhyay, “An improved minimum redundancy maximum relevance approach for feature selection in gene expression data”, Procedia Technology, vol. 10, pp. 20-27, 2013.
[24] فرید کربلایی، حمیدرضا شعبانی، رضا ابراهیم‌پور، «ارزیابی برون‌خط پایداری گذرا به‌وسیله تعیین دقیق CCT با استفاده از شبکه عصبی با ورودی‌های مبتنی بر توابع انرژی»، مجله مهندسی برق دانشگاه تبریز، جلد 46، شماره 1، صفحه 285-277، بهار 1395.
[25] مرتضی به‌نام، حسین پورقاسم، «شناسایی صرع بر اساس بهینه‌سازی ویژگی‌های ادغامی تبدیل هارتلی با مدل ترکیبی MLP و GA همراه با استراتژی یادگیری ممتیک»، مجله مهندسی برق دانشگاه تبریز، جلد 25، شماره 4، صفحه 67-51، زمستان 1394.
[26] S. Rajasekaran and G. V. Pai, “Neural networks, fuzzy logic and genetic algorithm: synthesis and applications,” New Delhi: Prentice Hall of India Pvt. Ltd, 2003